Advanced Microscopy Techniques in EUV Lithography: SHARP Overview

Slide Note
Embed
Share

SHARP utilizes Fresnel zone plate lenses to achieve diffraction-limited quality in EUV lithography, offering a range of NA values and image magnifications. The system allows emulation of mask-side imaging conditions with hundreds of lenses available. Coherence control and engineering are provided through a lossless Fourier synthesis illuminator for complex illumination conditions. SHARP can image any 6-inch EUV mask and is used for studying various mask imaging effects, defect analysis, repair strategies, mask architecture, and materials. Additionally, the SHARP team demonstrates advanced microscopy techniques such as phase imaging, anamorphic imaging, and high-angle multilayer development for enhanced image extraction.


Uploaded on Sep 19, 2024 | 0 Views


Download Presentation

Please find below an Image/Link to download the presentation.

The content on the website is provided AS IS for your information and personal use only. It may not be sold, licensed, or shared on other websites without obtaining consent from the author. Download presentation by click this link. If you encounter any issues during the download, it is possible that the publisher has removed the file from their server.

E N D

Presentation Transcript


  1. 2015

  2. at a glance = 13.2 13.7 nm 1/1450 / 4xNA = {0.25, 0.33, 0.42, 0.50, 0.625} CRA = 6 (typical); 8 , 10 for NA > 0.33 =0.05 1+ (fully programmable) t= 5 8 sec/image. (~8 through-focus series per hour, 9 23 images)

  3. lenses SHARP uses Fresnel zoneplate lenses to achieve diffraction-limited quality with a range of NA values and image magnifications. SHARP emulates the mask-side imaging conditions of current and future EUV litho tools. Hundreds of lenses are installed and ready for use. 4xNA = {0.25, 0.33, 0.42, 0.50, 0.625} CRA = {6 , 6 , 8 , 8 , 10 } Lenses for anamorphic imaging emulation will be ready in 2015.

  4. illumination SHARP s lossless Fourier synthesis illuminator provides coherence control and engineering, to reproduce arbitrary and complex illumination conditions. Both standard and FlexRay-type pupil fills are available, including grayscale.

  5. mask requirements SHARP can image any 6-inch EUV mask, across the entire front surface. Mask navigation can be done either manually (by eye) from a known map, or using fiducial markers and known coordinates for points of interest. SHARP can image patterned or reflective blank masks. SHARP can accept wafer-mask samples, in which a specially prepared, ML-coated, wafer, or wafer piece is attached to a standard 6-inch mask.

  6. topics for research SHARP is used to study nearly every mask imaging effect. Some examples include: Defects: native & programmed defects, pattern defects, ML blank defects, phase defects, absorber defects, covered defects Repair Strategies: imaging before/after repair, through-focus, and under various illumination conditions line contrast, pattern roughness, exposure latitude, NILS Mask Architecture and Materials: depth of focus, illumination dependence, CD variation OPC and Assist Features: ML Roughness: effect on pattern roughness High-NA effects: pitch-dependent pattern shift through focus

  7. advanced topics The SHARP team is demonstrating advanced microscopy techniques to extract more information from images. Phase Imaging: For understanding roughness and repair through-focus phase reconstruction Fourier-ptychography microscopy differential phase contrast Zernike phase contrast Anamorphic Imaging: emulating future litho tools with different x and y NA and magnification performance of EUVL at central ray angles beyond 6 , with 4xNA values above 0.35 High-Angle Multilayer Development: customizing source pupil fill and mask patterns for improved imaging metrics Source-Mask Optimization (SMO):

  8. DATA

  9. 500 nm Courtesy Mangat, Wood: GlobalFoundries

  10. 0.33 4xNA = 0.5 0.7

  11. Coherence affects roughness 0.5 m = 0.05 coherent = 0.5 partial coherence ANIMATED

  12. Coherence affects edges 0.5 m = 0.1 coherent = 0.5 partial coherence ANIMATED

  13. Native phase and amplitude defects phase amplitude focus 2.0 m ANIMATED

  14. Substrate roughness experiments 2- m 132-nm hp focus (intentional roughness) ANIMATED

  15. Resolutions NA dependence 4xNA 0.25 0.35 0.42 0.50 CD 16 nm

  16. High-quality data extraction from line patterns Bossung plot 100-nm CD, = 0.5 5% dose levels

  17. publications 2014 Actinic mask imaging: Recent results and future directions from the SHARP EUV Microscope, Kenneth A. Goldberg, Markus P. Benk, Antoine Wojdyla, Iacopo Mochi, et al. SPIE 9048, 90480Y (2014). Extreme ultraviolet mask roughness: requirements, characterization, and modeling, Naulleau, P., S. Bhattaria, R. Chao, R. Claus, et al., SPIE 9256 92560J, (2014). A broader view on EUV-masks: adding complementary imaging modes to the SHARP microscope, M. P. Benk, R. H. Miyakawa, W. Chao, Y.-G. Wang, et al. SPIE 9235 92350K, (2014). Gradient descent algorithm applied to wavefront retrieval from through-focus images by an extreme ultraviolet microscope with partially coherent source, K. Yamazoe, I. Mochi, and K. A. Goldberg, J. Opt. Soc. Am. A 31 (12), B34-43 (2014). Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images, S. Raghunathan, O. R. Wood II, P. Mangat, E. Verduijn, et al., J. Vac. Sci. Technol. B 32, 06F801 (2014). Investigating Printability of Native Defects on EUV Mask Blanks through Simulations and Experiments, Mihir Upadhyaya, Vibhu Jindal, Henry Herbol, Jenah Harris-Jones, et al., EUVL Symposium 2014. New Source and Imaging Capabilities of the SHARP EUV Mask Microscope, Kenneth A. Goldberg, Markus Benk, Antoine Wojdyla, Alex Donoghue, et al., EUVL Symposium 2014. Fourier Ptychography Microscopy with the SHARP EUV Microscope for increased imaging resolution based no illumination diversity, A. Wojdyla, M. P. Benk, D. G. Johnson, A. Donoghue, et al., EUVL Symposium 2014. Source optimization at the SHARP microscope, Markus P. Benk, David G. Johnson, Alexander Donoghue, Antoine Wojdyla, et al., EUVL Symposium 2014. Phase-enhanced Defect Sensitivity for EUV Mask Inspection, Y.-G. Wang, R. Miyakawa, W. Chao, D. Johnson, et al., EUVL Symposium 2014. Repairing native defects on EUV mask blanks, M. Lawliss, E. Gallagher, M. Hibbs, K. Seki, et al., SPIE 9235 923516, (2014) Actinic Mask Imaging: _Emulating Current and Future Litho Tools_with the SHARP EUV Microscope, (Invited) K. A. Goldberg, M. Benk, A. Wojdyla, I. Mochi, et al., SPIE Photomask Japan 2014. Screening EUV mask absorbers for defect repair, Takeshi Isogawa, Kazunori Seki, Mark Lawliss, Emily Gallagher, et al., SPIE 9256 92560N, (2014). Learning from native defects on EUV mask blanks, Emily Gallagher, Alfred Wagner, Mark Lawliss, Gregory McIntyre, et al., SPIE 9256 92560K, (2014). Extreme ultraviolet mask roughness: requirements, characterization, and modeling, Patrick Naulleau, Suchit Bhattaria, Rick Chao, Rene Claus, et al., SPIE 9256 92560J, (2014).

  18. publications 2013 Application of phase shift focus monitor in EUVL process control, L. Sun, S. Raghunathan, V. Jindal, E. Gullikson, et al., SPIE 8679 86790, (2013). Commissioning an EUV mask microscope for lithography generations reaching 8 nm, K. A. Goldberg, I. Mochi, M. Benk, A. P. Allezy, et al., SPIE 8679 867919, (2013). Through-focus EUV multilayer defect repair with nanomachining, G. R. McIntyre, E. E. Gallagher, T. E. Robinson, et al., SPIE 8679 86791I, (2013). Pupil shaping and coherence control in an EUV mask-imaging microscope, Iacopo Mochi, Kenneth A. Goldberg, Markus P. Benk, Patrick P. Naulleau, SPIE 8880 888022, (2013). Increased depth of field through wave-front coding: using an off-zone plate lens with cubic phase modulation in an EUV microscope, Markus P. Benk, Kenneth A. Goldberg, Iacopo Mochi, Weilun Chao, et al., SPIE 8880 88801R, (2013). Recovering Effective Amplitude and Phase Roughness of EUV Masks, Rene A. Claus, Iacopo Mochi, Markus P. Benk, Kenneth A. Goldberg, et al., SPIE 8880 88802B, (2013). The SEMATECH high-NA actinic reticle review project (SHARP) EUV mask-imaging microscope, Kenneth A. Goldberg, Iacopo Mochi, Markus P. Benk, Chihcheng Lin, et al., SPIE 8880 88800T, (2013).

Related